- 光刻永恒2008/6/5 0:00:00 2008/6/5 0:00:00
- (asml, china 技术行销经理)摘 要:由于新近的技术突破,先前应用瓶颈在光刻领域得到解决方案。如今浸没式氟化氩(arf)光刻技术已经被itrs列为45nm,甚至于3...[全文]
- 贴片胶与滴胶工艺2008/6/5 0:00:00 2008/6/5 0:00:00
- 贴片胶与滴胶工艺 表面贴片胶(sma, surface mount adhesives)用于波峰焊接和回流焊接,以保持元件在印刷电路板(pcb)上的位置,确保在装配线上传送...[全文]
- 锡铅焊锡替代材料的选择2008/6/5 0:00:00 2008/6/5 0:00:00
- 随着人类文明的进步,人们的环境意识正逐渐增强。保护自然环境,减少工业污染,已越来越受到人们的关注。smt(表面贴装技术)生产作为电子生产的一部分,也不可避免地存在有对环境污染...[全文]
- 波峰焊+作im中UO楲炥改善方法2008/6/5 0:00:00 2008/6/5 0:00:00
- 1.沾+不良 poor wetting:z.情l潦遣豢山邮艿娜睘,在焊炥上只有部分沾+.分析其原因及改善方式如下: 1-1.外界的污染物如油,脂,佖等,此?污染物通常可用溶...[全文]
- 怎样设定锡膏回流温度曲线2008/6/5 0:00:00 2008/6/5 0:00:00
- “正确的温度曲线将保证高品质的焊接锡点。” 在使用表面贴装元件的印刷电路板(pcb)装配中,要得到优质的焊点,一条优化的回流温度曲线是最重要的因素之一。温度曲线是施加于电路装...[全文]
- SMT焊膏质量与测试2008/6/5 0:00:00 2008/6/5 0:00:00
- 摘 要:随着电子封装向高性能、高密度、微型化的发展,焊膏材料和技术显得极为重要。本文讨论了表面安装技术(smt)焊膏的焊粉质量、焊剂载体要求以及焊膏的基本性能测试。 关键词:...[全文]
- SMT如何目墫jW2008/6/5 0:00:00 2008/6/5 0:00:00
- 一、 前言 以下是以}D堓0.63溡陨蟻s距到fr-4的j_板上N&用+抂比63/37r2成份的+膏。而且是一高品岅、小量及高混合度的smt}D堓制程。 本文目的是在描述在 ...[全文]
- 锡膏印刷工艺评估中的吞吐量与周期2008/6/5 0:00:00 2008/6/5 0:00:00
- 电子制造业经常交换使用周期和吞吐量两个术语,事实上,它们在机器性能的量度工作中是两种不同的因素。虽然机器周期是指示机器性能的一个重要指标,但在评估工艺设备时将总吞吐量作为主要...[全文]
- 飞针测试2008/6/5 0:00:00 2008/6/5 0:00:00
- 飞针测试 本文介绍,以更低的成本增加产品装配效率 - 而且不牺牲品质。 “飞针”测试是测试的一些主要问题的最新解决办法。名称的出处是基于设备的功能性,表示其灵活性。飞针测...[全文]
- 元件贴装技术2008/6/5 0:00:00 2008/6/5 0:00:00
- 元件贴装技术 从八十年代早期开始,中等至大批量制造生产线由两种机器组成:一种对小元件的转塔式的射片机和一种对较大元件的柔性的异型元件与密脚元件的贴装机。转塔的概念是使用一组...[全文]
- 通孔插装产品的可制造性设计2008/6/5 0:00:00 2008/6/5 0:00:00
- 对电子产品设计师尤其是线路板设计人员来说,产品的可制造性(工艺性)是一个必须要考虑的因素,如果线路板设计不符合可制造性(工艺性)要求,将大大降低产品的生产效率,严重的情况下甚...[全文]
- 面向21世纪在表面安装技术2008/6/5 0:00:00 2008/6/5 0:00:00
- 面向21世纪在表面安装技术 表面安装技术(smt)是最新一代电子装联技术,该技术已经广泛地应用于各个领域的电子装联中,本文将就面向21世纪的表面安装技术发展特点、相关...[全文]
- SyStemC架起了桥梁2008/6/5 0:00:00 2008/6/5 0:00:00
- cadence资深工程师 唐进当systemc语言以一种新的开放源码的语言在1999年面世时,给设计工程师带来了不小的困惑。什么是systemc?一种硬件设计语言?如果是的话...[全文]
- HDL其他相关软件2008/6/5 0:00:00 2008/6/5 0:00:00
- mentor公司出品,vhdl/verilog完整开发系统,可以完成除了布线以外所有的工作,包括三套软件:hdl designer series(输入及项目管理),leona...[全文]
- cadence ic design 5.0.3.3软件下载2008/6/5 0:00:00 2008/6/5 0:00:00
- 下载地址:http://crm.51eda.com/soft/pcb/candence/e014_isric5033cd2.tar ...[全文]
- 怎么用AHDL暂存一组数据2008/6/5 0:00:00 2008/6/5 0:00:00
- 要看数据长度了,如果数据量不大,可以直接用寄存器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_ar...[全文]
- protel99se与VHDL2008/6/5 0:00:00 2008/6/5 0:00:00
- 问:protel99se设计pld时,本来支持cupl语言,但是里面有vhdl语言选项,但是好像给了以后也没有确定键。不过我可以把vhdl语言打开,但是运行编译时,没有反应,...[全文]
- Verilog与VHDL2008/6/5 0:00:00 2008/6/5 0:00:00
- verilog工业界使用很普遍,vhdl教学上用的多 国外这个情况更是如此。现在大部分仿真器都支持verilog,vhdl混合仿真,至少他们宣传上是这样。如果你...[全文]
- 奇数次分频器2008/6/5 0:00:00 2008/6/5 0:00:00
- module count(out,clk,clr);parameter n=5;output out; input clk,clr;reg ou...[全文]
- Instantiating LPMs in Verilog2008/6/5 0:00:00 2008/6/5 0:00:00
- the example in this section defines a black box for an altera lpm_ram_dq,which is then ...[全文]
热门点击
- 彩电屡烧行管的几点问题讨论
- 素晶胞与复晶胞(体心晶胞、面心晶胞和底心
- 纳米技术材料
- 关于 .cdsenv 的小技巧
- `celldefine 和 `endce
- 测试硬件简介---探针卡(prober
- 画standard cell的注意点
- 什么是载流子迁移率及迁移率影响芯片的那些
- 晶胞中原子的坐标与计数
- 新型低介电常数材料研究进展
IC型号推荐
- GRM31M2P1H122JZ01L
- GRM31M2P1H152JZ01B
- GRM31M2P1H152JZ01K
- GRM31M2P1H152JZ01L
- GRM31M2R1H102JZ01B
- GRM31M2R1H102JZ01K
- GRM31M2R1H102JZ01L
- GRM31M2R1H122JZ01B
- GRM31M2R1H122JZ01K
- GRM31M2R1H122JZ01L
- GRM31M2R1H152JZ01B
- GRM31M2R1H152JZ01K
- GRM31M2R1H152JZ01L
- GRM31M2S1H122JZ01B
- GRM31M2S1H122JZ01K
- GRM31M2S1H122JZ01L
- GRM31M2S1H152JZ01B
- GRM31M2S1H152JZ01K
- GRM31M2S1H152JZ01L
- GRM31M2S1H182JZ01B
- GRM31M2S1H182JZ01K
- GRM31M2S1H182JZ01L
- GRM31M2T1H222JD01B
- GRM31M2T1H222JD01K
- GRM31M2T1H222JD01L
- GRM31M2T1H272JD01B
- GRM31M2T1H272JD01K
- GRM31M2T1H272JD01L
- GRM31M2T1H332JD01B
- GRM31M2T1H332JD01K