位置:51电子网 » 技术资料 » 其它综合
位置:51电子网 » 技术资料 » 其它综合
Synplicity网站有Synplify7.012008/6/5 0:00:00
2008/6/5 0:00:00
www.synplicity.com,著名的hdl综合软件到7.01的都可以用6.24的license到www.fpga.com.cn下6.24的破解程序运行synplify...[全文]
instantiating the lpm_ram_dq component2008/6/5 0:00:00
2008/6/5 0:00:00
library lpm;use lpm.lpm_components.all;library ieee;use ieee.std_logic_1164.all;entity ...[全文]
WEBPACK是免费的开发工具2008/6/5 0:00:00
2008/6/5 0:00:00
ise webpack是免费的开发工具,支持spartan2,coolruner等器件,支持verilog、vhdl、原理图输入,其编译器为xilinx自己开发的xst。fo...[全文]
5分频的摸块用Active HDL如何设计?2008/6/5 0:00:00
2008/6/5 0:00:00
module div_5 (clk_in, clk_out, reset);input clk_in, reset;output clk_out; reg[2:0] gare...[全文]
X_HDL3 VERILOG to VHDL2008/6/5 0:00:00
2008/6/5 0:00:00
今天得到软件x-hdl,整个软件不大,好象是2.7m,有兴趣的可以来这里下载:ftp://218.64.19.133文件1(intall):xhdl3.1.42.exe文件2...[全文]
Active HDL 4.2 876的注册文件2008/6/5 0:00:00
2008/6/5 0:00:00
license.dat 还需要crack:feature acad_import aldec 10.0 permanent uncounted ab829dcbfad8 ...[全文]
对systemc的看法2008/6/5 0:00:00
2008/6/5 0:00:00
使用systemc做设计,从系统仿真角度来看,比使用c或者ccss(虽然ccss也是基于systemc的)等工具,要更接近于硬件实现。因为硬件的设计是基于时序的,而前面提到的...[全文]
编了个计数器程序与TESTBENCH2008/6/5 0:00:00
2008/6/5 0:00:00
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logi...[全文]
锡须的产生原因和预防措施2008/6/5 0:00:00
2008/6/5 0:00:00
锡须的产生原因:1、锡与铜之间相互扩散,形成金属互化物,致使锡层内压应力的迅速增长,导致锡原子沿着晶体边界进行扩散,形成锡须;2、电镀后镀层的残余应力,导致锡须的生长。解决措...[全文]
未来环保清洗工程技术2008/6/5 0:00:00
2008/6/5 0:00:00
1.引言   自从国际社会1987年制订了《关于消耗臭氧层物质的蒙特利尔议定书》,中国作为缔约国之一,于一九九一年六月为积极淘汰ods采取了一系列措施。1993年国务院批 ...[全文]
再流焊工艺技术研究2008/6/5 0:00:00
2008/6/5 0:00:00
摘 要:随着表面贴装技术的发展,再流焊越来越受到人们的重视。本文介绍了再流焊接的一般技术要求,并给出了典型温度曲线以及温度曲线上主要控制点的工艺参数。同时还介绍了再流焊中常见...[全文]
SMT常用知识(之一)2008/6/5 0:00:00
2008/6/5 0:00:00
1. 一般来说,smt车间规定的温度为25±3℃。 2. 锡膏印刷时,所需准备的材料及工具锡膏、钢板刮刀擦拭纸、无尘纸清洗剂搅拌刀。 3. 一般常用的锡膏合金成份...[全文]
SMT常用知识(之二)2008/6/5 0:00:00
2008/6/5 0:00:00
59. 63sn+37pb之共晶点为183℃; 60. smt使用量最大的电子零件材质是陶瓷; 61. 回焊炉温度曲线其曲线最高温度215c最适宜; 62. 锡炉检验...[全文]
锡膏检查:形成闭环的过程控制2008/6/5 0:00:00
2008/6/5 0:00:00
更小元件(更小焊盘与开孔)的趋势已使得锡膏的印刷越来越重要,并将继续保持如此。随着引脚间距变得越紧密,模板上开空比率也减少。从0.050”的间距变到0.025”,要求锡球尺寸...[全文]
BGA的返修及植球工艺简介2008/6/5 0:00:00
2008/6/5 0:00:00
一:普通smd的返修 普通smd返修系统的原理:采用热气流聚集到表面组装器件(smd)的引脚和焊盘上,使焊点融化或使焊膏回流,以完成拆卸和焊接功能。不同厂家返修系统的相异...[全文]
单面双接触FPC板的良率改善方法2008/6/5 0:00:00
2008/6/5 0:00:00
前言:如图1所示,当单面双接触的fpc电极长度大于3.0mm,宽度小于0.3mm时,在制程当中(蚀刻、去膜、表面清洗、贴保护膜)容易造成电极变形、扭曲、断裂,严重影响了产品的...[全文]
穿孔回流焊技术要求探讨2008/6/5 0:00:00
2008/6/5 0:00:00
穿孔回流焊是一项国际电子组装应用中新兴的技术。当在pcb的同一面上既有贴装元件,又有少量插座等插装元件时,一般我们会采取先贴片过回流炉,然后再手工插装过波峰焊的方式。但是,如...[全文]
0201的丢片现象[问题]2008/6/5 0:00:00
2008/6/5 0:00:00
随着0201的广泛应用,一些问题逐渐显露出来。来自生产一线的报告显示,0201的丢片现象最为突出,成为制约生产的重大因素。1、丢片。没能达到99.9%的吸取率;2、0201不...[全文]
从EMS供应商到OTMS供应商2008/6/5 0:00:00
2008/6/5 0:00:00
by dan shea   本文介绍,随着光电子学的使用增加,pcb装配制造商必须改变其制造工艺和商业模式,以适应这个新的光学技术。  光在通信技术中的使用不再局限在光纤网络...[全文]
激光头维修的简便方法2008/6/5 0:00:00
2008/6/5 0:00:00
激光头损坏引起的故障现象1、碟片不转  通常会在显示屏上出现“no disc”字样。原因是激光二极管老化、激光头肮脏(包括物镜和内部的光学镜片脏了),物镜或光敏检测器损坏、聚...[全文]
每页记录数:20 当前页数:166 首页 上一页 161 162 163 164 165 166 167 168 169 170 171 下一页 尾页
每页记录数:20 当前页数:166 首页 上一页 161 162 163 164 165 166 167 168 169 170 171 下一页 尾页

热门点击

IC型号推荐

版权所有:51dzw.COM
深圳服务热线:13692101218  13751165337
粤ICP备09112631号-6(miitbeian.gov.cn)
公网安备44030402000607
深圳市碧威特网络技术有限公司
付款方式


 复制成功!